Silverthorne và nền tảng Menlow

Xu hướng ngày nay những linh kiện máy tính càng nhỏ và cảng rẻ tiền , tất nhiên điều đó không có gì là mới .

Chip đã được thu nhỏ và giá ngày càng hạ kể từ 30 năm nay . Và xu hướng này đã tăng một cách đột ngột trong những năm lại đây . Những thiết bị nhỏ gọn như Eee PC , iPhone đã gặt hái được những thành công trong những năm trở lại đây . Những nhà sản xuất Chip đã thu gọn bốn năm phát triển CPU để thiết kế chúng trở nên nhỏ gọn với mức độ tiêu thụ điện năng thấp và tương thích với những bộ vi xử lí trước kia .

Intel đã trở thành người tiên phong trong lĩnh vực này với bộ vi xử lí có tên mã là Silverthorne và Chipset có tên mã Poulsbo . Tất cả chúng cấu thành nên nền tảng Menlow .

Silverthorne và Menlow cuối cùng được Intel giới thiệu tên thương mại là bộ vi xử lí Atom và nền tảng Centrino Mobile . Nhờ vào vi cấu trúc CPU mới kết hợp Chipset mới mà Intel đã đưa ra lĩnh vực tính toán mới tương thích với x86 .

Nghĩ là nhỏ nhưng thực sự không nhỏ

Một trong những điều mấu chốt để hiểu Silverthorne là xem vị trí của nó trên thế giới . Intel thiết kế Silverthorne có kích thước và mức độ tiêu thụ năng lượng mà chưa có bộ vi xử lí nào hiện nay đạt được . Trong những đặc điểm nổi bật , Silverthorne sẽ có mức độ tiêu thụ năng lượng từ khoảng 0.5W – 2.5W , ít hơn nhiều so với những bộ vi xử lí x86 hiện nay . Đối thủ cạnh tranh gần nhất và Isaiah của VIA có mức tiêu thụ năng lượng 3W hoặc cao hơn . Với mức độ tiêu thụ năng lượng như vậy cho phép Silverthorne có thể được dùng trong nhiều thiết bị kiểu khác nhau từ thiết bị GPS cầm tay tới những thiết bị Video di động . Theo Intel thì Atom vượt xa những gì mà những bộ vi xử lí ARM có được .

Khả năng của Silverthorne lại vừa trong những thiết bị cầm tay như SmartPhone , nhưng sẽ hơi gượng gạo khi kết hợp bộ vi xử lí đầu tiên về kích thước cũng như công suất tiêu thụ khi kết hợp với Chipset của nó . Chipset Poulsbo được tạo ra để cung cấp những tính năng tương tự như PC cho những thiết bị UMPC ( Ultra Mobile PC ) với tất cả những giao diện I/O và những sức mạnh của đồ hoạ tích hợp . Thị trường UMPC rất nhỏ trong khi thành công của iPhone rất lớn khiến cho mục tiêu của Poulsbo hiện tại có vẻ sai lầm . Nhưng Intel lại sử dụng nền tảng Menlow như là một kế hoạch để đưa ra những thiết bị tương thích với máy tính có mức tiêu thụ năng lượng nhỏ và kích thước nhỏ .

Bước tiếp theo trong tiến trình này sẽ chế tạo sản phẩm với tên mã Moorestown trong khung thời gian từ 2009-2010 , để kết hợp những lõi Silverthorne vào một hệ thống chỉ có một Chip duy nhất rà điều kiện rất tốt cho SmartPhone .

Trong thời gian chuyển tiếp này , Intel sẽ sử dụng Silverthorne cho những thiết bị có kích thước lớn hơn một chút và tiếp tục phát triển nó với thiết bị có thê gọi Thiết bị Internet di động MID ( Mobile Internet Device ) . Một trong những yếu tốt chính là Silverthorne tương thích với những bộ vi xử lí Intel x86 khác và điều đó cũng đồng nghĩa với tương thích cho Internet .

Intel nhận thấy đó là cơ hội có thật cho Silverthorne để chiếm lấy vị trí trong hàng chục triệu thiết bị cầm tay như Bộ thu GPS , thiết bị chạy Video và DVD , máy chơi Game … bằng những tập lệnh chuẩn . Khi kết hợp với Wi-Fi thiết bị này có khả năng mở rộng để sử dụng Internet cùng với những ứng dụng khác .

Một phần trong chiến lược Intel để làm cho Silverthorne được phát triển dễ dàng để tạo thành những chuẩn phần mềm mà những nhà sản xuất thiết bị có thể dùng để kết hợp chặt chẽ giữa Internet và sản phẩm tiêu dùng . Cuối cùng , Intel sẽ thực hiện dự án Moblin ( Mobile Linux Internet Project ) , hiện tại đã có khoảng 100 người đang thực hiện dự án này . Kết quả của dự án này sẽ là một loạt những sản phẩm từ Kernel tới Middleware tới Ứng dụng và Multimedia , bao gồm cả những Codec . Moblin sẽ dựa trên Linux cho máy để bàn , nhưng Intel sẽ làm giảm kích thước của hệ điều hành này , cải tiến nó cho mức độ tiêu thụ điện năng được tối ưu hoá , tạo thành những chuẩn cho API , do đó những ứng dụng được viết cho những thiết bị Moblin có thể làm việc với những thiết bị Mobile Linux khác nhau . Một số nhà sản xuất đã có những sản phẩm đóng gói Moblin hoàn chỉnh , như Ubuntu và Asianux . Để hấp dẫn hơn nữa , Intel cũng đưa ra một danh sách dài cho nhà cung cấp những ứng dụng và cung cấp giải pháp với những sản phẩm Moblin đang trong giai đoạn thủ nghiệm như Skype , Nero , AOL , MySpace , Adobe , Real , Dolby và PopCap Games . Với những người tham gia đông đảo như vậy Moblin sẽ hứa hẹn thậm trí cồn tốt hơn cả Apple iPhone . Dự án của Moblin cũng bao gồm có cả Mozilla với giao diện cung cấp cho những thiết bị kiểu Touch .

Sự lựa chọn Linux là một sáng kiến quan trọng vì Linux yêu cầu bộ nhớ ít , giá rẻ và dễ dàng thay đổi tuỳ biến hơn trong Windows . Những người dùng giao diện cho MID những những thiết bị đặc biệt khác sẽ trở nên rộng rãi trong thời gian tới và với kiểu tương tự như giao diện Windows sẽ ít có mặt tại phân đoạn thị trường này .

Intel dự tính trình diễn 25 thiết kế cho Atom , một số sẽ sử dụng cho thiết bị Thu GPS và một số khác cho MID . Những thiết bị dựa trên Linux sẽ nhỏ hơn , nhẹ hơn và rẻ tiền hơn và có giá khởi điểm từ 499USD . Những thiết bị dựa trên Windows sẽ là những sản phẩm cao cấp hơn và có giá thành từ 599USD .

Intel dự tính hỗ trợ MID để tạo thành một thị trường mới và có thể bán được Silverthorne một cách dễ dàng .

Nền tảng Diamondville sắp tới của Intel sẽ kết hợp với bộ vi xử lí Silverthorne với những Chipset khác của Intel là mục tiêu cho máy tính để bàn và máy tính xách tay giá rẻ . Asus Eee PC thế hệ tiếp theo dự định sẽ giới thiệu Atom .

Silverthorne cũng hoàn toàn được sử dụng trong những thiết bị kiểu Embedded để cạnh tranh trực tiếp với bộ vi xử lí C7 và Isaiah của VIA .

 

Menlow cơ bản

Cũng tương tự như những sản phẩm để bàn của Intel , nền tảng Menlow cũng sẽ bao gồm nhiều kiểu . Có cả bộ vi xử lí Silverthorne , bây giờ có tên là Atom , với Chipset Poulsbo , bây giờ là SCH ( System Controller Hub ) .

Siverthorne có nhiều kiểu khác nhau hơn cả bộ vi xử lí Intel Core 2 cho máy tính để bàn và máy tính xách tay hiện thời , với thiết kế vi cấu trúc hoàn toàn mới và sử dụng năng lượng điện ít hơn . Hiệu suất làm việc cũng rất quan trọng nhưng là vị trí thứ hai . Chip này chỉ có 47 triệu Transistor và có kích thước 7.8 x 3.1 mm , hơn 24mm2 . Intel sử dụng công nghệ xử lí sản xuất tiên tiến 45nm High-K tương tự như cách làm đối với những Chip “Penryn” Core 2 , Penryn có kích thước 107 mm2 . Thậm trí khi đóng gói thành một sản phẩm hoàn hảo Silverthorne cũng chỉ có kích thước 13 x 14mm với chiều cao 1.6mm .

Những bộ vi xử lí Silverthorne đầu tiên sẽ có tốc độ từ 800MHz tới 1.86GHz với kiểu Bus thông tin tương tự như FSB có tốc độ  400 và 533 phụ thuộc vào sản phẩm . Silverthorne sử dụng Bus này xét về mặt lí thuyết tương thích với những Chipset của máy tính để bàn và máy tính xách tay hiện thời của Intel . Những Chip Silverthorne sẽ có 512KB Cache L2 và hỗ trợ những công nghệ từ trước tới nay của Intel như VT, XD, EM64T, SSE3, SSSE3, SpeedStep, và HT .

  • EMT64 là tên của Intel tính tương thích x86-64 do đó bạn có thể chạy Windows Vista x64 Edition .
  • SpeedStep là tên công nghệ quản lí năng lượng động của Intel .
  • Trong số này hấp dẫn nhất chính là sự quay trở lại của HT , Hyper-Threading , ccông nghệ siêu phân luồng lần đầu tiên được giới thiệu trong những bộ vi xử lí Pentium 4 .

Bản chất của Silverthorne là bộ vi xử lí Single-Core nhưng nó có thể xử lí cùng một lúc hai luồng dữ liệu để đạt được hiệu suất làm việc cao nhất .

Dưới đây là bảng liệt kế giá cả cùng mới mức độ tiêu thụ năng lượng của Silverthorne .

Model

Tốc độ

FSB

L2 cache

Hyper-
Threading

TDP

Công suất trung bình

Công suất khi nghỉ

Giá
(CPU + chipset)

Z500

800MHz

400MHz

512K

-

0.65W

160mW

80mW

$45

Z510

1.1GHz

400MHz

512K

-

2W

220mW

100mW

$45

Z520

1.33GHz

533MHz

512K

x

2W

220mW

100mW

$65

Z530

1.6GHz

533MHz

512K

x

2W

220mW

100mW

$95

Z540

1.86GHz

533MHz

512K

x

2.4W

220mW

100mW

$160

 

Intel bán Silverthorne đi kèm theo Chipset Poulsbo SCH . Poulsbo tích hợp một vài chức năng Chipset khác nhau trong cùng một Chip duy nhất : NorthBride với FSB và Bộ phận điều khiển bộ nhớ , SouthBridge với những cổng I/O và bộ vi xử lí đồ hoạ .

Bus của NorthBridge kết nói với Silverthorne với tốc độ 400MHz hoặc 533MHz và bộ phận điều khiển bộ nhớ hỗ trợ hỗ trợ cấu hình Single-Channel DDR2 có cùng tốc độ 400/533 MHz .

SouthBridge của Poulsbo là bộ phận thu nhỏ SouthBridge PC truyền thống với hai liên kết PCIe x1 , 08 cổng USB 2.0 , âm thanh HD , giao diện ATA-100 . Bên cạnh đó SCH có thể kết nối được với những thiết bị Flash RAM với 03 cổng SDIO/MMC .

Pousbo SCH của Menlow

Bên trong SCH cũng có bộ vi xử lí đồ hoạ tích hợp riêng biệt . Mặc dù những linh kiện sử dụng mức năng lượng thấp nhưng bản chất nó vẫn là GPU thực sự và hỗ trợ OpenGL với DirectX 9 và Intel tuyên bố nó hỗ trợ tăng tốc giải mã Video HD .

GPU năng lượng thấp này lại do một nhà cung cấp khác đó là Imagition Technology và đó cũng chính là bí mật trong Poulsbo .

SCH có kích thước lớn hơn Silverthorne bởi vì nó được sản xuất dựa trên công nghệ xử lí 130nm . Intel nói răng việc lựa chọn 130nm bởi vì dòng điện dò thấp ở mức chấp nhận được . Hạn chế về mặt kích thước của SCH là do tích hợp một số lượng lớn cổng I/O hỗ trợ .

Pipeline của Silverthorne

Một trong những điều quan trọng nhất trong thiết kế Silverthorne là tập trung chuyên sâu với mục tiêu tiết kiệm năng lượng và sau đó mới thêm hiệu suất nhiều nhất có thể đạt được . Chính vì mục tiêu như vậy nên Silverthorne sẽ là bộ vi xử lí kiểu Pipeline theo thứ tự ( In-Order ) và Single-Core . Sau đó chúng sẽ được thêm một chút những tính năng mới và được lặp lại cho tới khi mục tiêu hiệu suất làm việc và hiệu suất năng lượng gặp nhau .

Kết quả của quá trình này là tạo ra một thiết kế mới rất đặc biệt . Hầu hết những CPU hiện đại đều thực hiện kiểu OOO ( Out-Of-Order : Thực hiện công việc không theo thứ tự ) – Các bạn nên tham khảo bài viết “CPU làm việc như thế nào “ để hiểu OOO là gì - để đạt được hiệu suất làm việc cao nhất , nhưng thiết kế của Silverthorne lại thoả hiệp để không quá phức tạp đến như vậy . Nó tuân theo quy luật không quá tập trung vào điều khiển và suy đoán dữ liệu với mục đích đạt hiệu suất cao .

Intel tìm kiếm có lợi về mặt hiệu suất bằng cách tối ưu hoá Pipeline theo thứ tự để điều khiển những lệnh x86 . Gần như mọi bộ vi xử lí tương thích x86 hiện nay đều giải mã những lệnh kiểu CISC x86 thành những vi lệnh bên trong của riêng nó . Intel gọi những lệnh x86 là Macro-Ops và những lệnh bên trong lõi CPU là Micro-Ops , nhưng Silverthorne được thiết kế Pipeline để sử dụng dịch những lệnh thành một Micro-Ops . Cũng như những CPU hiện nay của Intel , Silverthorne cũng có khả năng nối nhiều lệnh Macro-Ops thành một lệnh Micro-Ops duy nhất . Với Silverthorne những lệnh x86 cơ bản với những phép toán liên quan tới bộ nhớ được chuyển thành một Micro-Ops duy nhất , điều đó sẽ mang lại hiệu suất cao hơn với cả hai bước Decoding và Scheduling như với những Chip Intel thông thường .

 

Intel đưa ra bức hình minh hoạ trên cho biết Silverthorne với những công việc thông thường . Những lệnh x86 phức tạp như hàm Cosin phải cần rất nhiều vi lệnh nhưng trung bình khoảng 96% những lệnh Macro-Ops thì được dịch trực tiếp theo tỉ lệ 1:1 ( một Macro-Ops thành một Micro-Ops ) hoặc nối thành một Micro-Ops duy nhất . Với cách làm như vậy khiến cho bộ vi xử lí sẽ có ÍPC ( Instructions Per Clock - Số lệnh được thực hiện trong một chu kì đồng hồ ) cao hơn nhưng lại tiết kiệm năng lượng .

Silverthorne cũng được thiết kế để đạt được hiệu suất làm việc cao nhất có thể bằng cách thêm vào đó những đặc điểm mới như SMT ( Simultaneous MultỉTheading ) mà làm việc tốt hơn công nghệ HT ( Hyper-Threading ) trước kia của Intel , nó cho phép thực hiện hai luồng dữ liệu riêng biệt cùng một lúc . Theo đánh giá của Intel SMT của Sliverthorne tăng hiệu suất làm việc từ 36-47% trong khi đó mức tiêu thụ năng lượng chỉ tăng 17-19% như vậy rõ ràng là quá lợi .

Bên cạnh mục tiêu tiết kiệm năng lượng , Silverthorne cũng triệt để sự dụng những tính năng mới của CPU hiện đại như hỗ trợ tập lệnh SSE3 và những lệnh Supplemental SSE3 mới hơn của Core 2 Duo ban đầu nhưng thiếu hỗ trợ SSE4 . Silverthorne cũng hỗ trợ tính năng ảo hoá (VT ) và tương thích với x86-64 cho địa chỉ hoá 64-bit và sử dụng những tính năng mở rộng của Cấu trúc tập lệnh (ISA) mà Intel đã sử dụng từ trước tới nay cho phép IPC cao hơn.

Intel lựa chọn Silverthorne sử dụng Piline chính với 16 tầng ( Stage ) đơn giản . Quyết định này cho phép dùng mạch điện công suất nhỏ và thuật toán quản lí năng lượng hiệu quả tại mỗi bước trở nên dễ dàng .

 

Những Tầng của Pipeline trong Silverthorne .

Intel nói rằng Pipeline này có khả năng làm việc với tần số rất cao , tới 2.5GHz và điện áp thông thường là 1.2V .  Trên thực tế thì tần số làm việc càng cao thì điện áp lõi càng tăng và mức tiêu thụ năng lượng càng tăng .

 

Phạm vi điện áp và tần số của Silverthorne

Intel đã lựa chọn những sản phẩm dựa trên Menlow với tần số làm việc dưới 2GHz và điện áp làm việc dưới 1V . Như vậy nếu tốc độ của Silverthorne trong hệ thống Diamondville cao hơn 2.5GHz thì sẽ cho những sản phẩm giá rẻ và không quan tâm tới năng lượng tiêu thụ .

So sánh hiệu suất tái tạo trang Web giữa Atom và ARM11

Sơ đồ khối của Silverthorne

   

Cache lệnh của Siverthorne là 32KB . Bộ phận Dự đoán rẽ nhánh với bộ đệm rẽ nhánh có 128-Entry và Phần báo trước Gshare 4K-Entry để duy trì sự dự đoán được chính xác hơn .

Scheduling

Silverthorne có hai hàng chờ lệnh , mỗi hàng có 16-Entry kết quả là phần này với 32-Entry . Scheduler có thể lấy 02 Micro-Ops từ những hàng chờ này cho mỗi chu kì xung nhịp .

Thực hiện FP/SIMD – Ploating Point / Single Instruction stream Multiple Data

Siverthorne có 02 ALU thực hiện những phép tính liên quan tới dấu phảy động có độ rộng 128-bit . Những ALU này với Bộ phận sắp xếp lại ( Shuffle Unit ) cũng có đường dữ liệu rộng 128-bit cho phép toán số nguyên SIMD . Phần cộng dấu phảy đọng hỗ trợ phép cộng với độ chính xác 128-bit , nhưng hầu hết những phần cứng còn lại có độ rộng 64-bit cho cả phép nhân dấu phảy động và số nguyên .

Thực hiện bộ nhớ

Siverthorne có 24KB Cache dữ liệu L1 Write-Back và 512KB Cách dữ liệu L2 với liên kết 8-Way . Các bạn có thể tham khảo bài viết “Bộ nhớ Cache làm việc như thế nào “ để biết thêm chi tiết . Giao diện tới Cache L2 có độ rộng 256-bit . Cả hai bộ nhớ Cache này đều có phần cứng mạch Logic Lấy trước dữ liệu ( Prefetch ) liên kết với nhau .

Giữ năng lượng sử dụng ở mức thấp

Thiết kế Silverthorne như đã đề cập trước đó với tiêu chí năng lượng bộ vi xử lí phải kiểm soát được một cách chi tiết .

Một trong những thủ thuật là loại bỏ những bộ phận có chức năng đặc biệt như phép nhân và chia số nguyên , những việc như thế đều do bộ phận FP ( tính toán dấu phảy động ) tương ứng xử lí .

Bên cạnh đó trong Silverthorne phần điều khiển đồ hồ xung nhịp rất linh hoạt . Những phần bên trong Chip được kích hoạt làm việc tuỳ theo kích thước dữ liệu và những nhiệm vụ yêu cầu theo những luồng dữ liệu riêng biệt . Khi quá nhiệt thì những mã 64-bit sẽ tự động giảm xuống còn chạy 32-bit ; những thanh ghi số nguyên và ngăn xếp thực hiện cũng được tối ưu hoá cho mục đích này .

 

C – State của Silverthorne

Silverthorne cũng có một số trạng thái C – State ( hoặc còn gọi là Sleep State ) như đối với Penryn và được tóm tắt theo biểu đồ trên .

Cũng như Penryn nó có thể đưa toàn bộ Cache hoặc một phần của Cache trong những trạng thái tạm thời khác nhau .

Ví dụ trang thái C6 “Deep power down” , được lấy hoàn toàn những gì đã thực hiện với Peryn , khi đó năng lượng CPU xuống mức thấp nhất điện áp cho lõi không có , tắt đồng hồ xung nhịp , tắt Cache L1 và L2 . Lúc đó Chip đã ShutDown và trạng thái hoạt động của máy được lưu trữ trong bộ nhớ SRAM trên Motherboard .

 

Chia nguồn cung cấp của Silverthorne

Sở dĩ trạng thái C6 có thể tiết kiệm năng lượng như vậy là do nó sử dụng đường chia nguồn . Chip có 203 chân IO thì chỉ có 21 chân IO cần để đánh thức trạng thái C6 – State và do sử dụng đường chia nguồn mà 182 chân IO khác có thể “tắt” , kết quả giảm một số lượng lớn năng lượng không cần thiết .

Để đưa cho bạn hiểu qua về một số ý tưởng trong những trạng thái C – State khác nhau , Intel đã đưa ra những số liệu kiểm nghiệm của mình so với trạng thái C0 , mức độ tiêu thụ năng lượng trung bình cao nhất . Với mức C1 , năng lượng tiêu thụ của Silverthorne bằng 40% . C4 là 12% và C6 là 1.6% . Intel đánh giá những thiết bị MID chiếm 80-90% thời gian ở trạng thái C6 , như vậy Silverthorne tiêu thụ năng lượng trung bình khoảng 220mW.

Một phương thức tiết kiệm năng lượng được dùng trong Silverthorne đó là chạy Bus FSB theo CMOS Mode hơn là sử dụng tín hiệu GTL (Gunning Transistor-Logic ) thông thường . Với CMOS Mode có thể làm việc được với điện áp rất thấp . Tất nhiên SCH phải hỗ trợ Mode này . Intel tuyên bố có thể tiết kiệm khoảng 200mW tới 500 mW cho năng lượng của nền tảng này .

 

\"\"